发 帖  
赛灵思
赛灵思
赛灵思
收藏|RSS 经验: 9|组长: 曾12345
  帖子 作者/时间 回复/查看 最后发表
[无满意答案] 请问Aurora Core Line的速率为3.125Gbps对吗? 陈小艳 2019-6-20 23696 陈小艳 06-20 15:26
[无满意答案] 请问在系统生成器中添加非内存映射端口做错了吗? 张蕾 2019-6-20 11481 张蕾 06-20 15:25
[无满意答案] 如何使PLL_BASE组件可配置? 陈存楼 2019-6-20 42157 陈存楼 06-20 15:02
[无满意答案] 请问是否有并行ADC或并行DAC接口卡让我能够使用FX2 FMC连接器连接到FPGA板? 李梅 2019-6-20 91660 李梅 06-20 14:07
[无满意答案] SPARTAN 3E上的时钟分频怎么实现? 萧昕腾 2019-6-20 31030 萧昕腾 06-20 11:56
[无满意答案] 请问是否可以在双层PCB上使用PQ208封装? 王境滨 2019-6-20 41198 王境滨 06-20 11:54
[无满意答案] 如何将sp6 fpga连接到wifi模块,使用它通过无线网络传输数据? 胡皓 2019-6-20 12077 胡皓 06-20 11:44
[无满意答案] 请问将xcf02放在电路板的位置是否重要? 张易 2019-6-20 32062 张易 06-20 10:52
[无满意答案] 请问Spartan6 LX150T开发板上的问题该怎么解决? 龚羿峰 2019-6-20 21749 龚羿峰 06-20 09:30
[无满意答案] 怎么解决平台flash prom无法配置FPGA问题? 张梅 2019-6-20 31514 张梅 06-20 09:02
[无满意答案] VCO底部,​​中间或顶部是最佳PLL性能吗? 颜婷 2019-6-20 41244 颜婷 06-20 08:45
[无满意答案] 通过SPI接口连接Zigbee模块和Spartan 3e入门套件的工作步骤有哪些? 刘世晓 2019-6-20 31290 刘世晓 06-20 08:26
[无满意答案] 用于控制Spartan 3E入门套件上的开关和LED的任何示例C代码? 王帅 2019-6-19 61262 王帅 06-19 16:14
[无满意答案] 请问Video Scaler是否适合示波器中的波形调整器? 徐一腾 2019-6-19 11228 徐一腾 06-19 15:34
[无满意答案] 无法分配网关中的端口号 冯琳 2019-6-19 41195 jiangjia12 06-19 13:58
[无满意答案] 使用ChipScope观察到的SFP,SMA GTP上的数据流错误 李凤英 2019-6-19 51621 李凤英 06-19 13:26
[无满意答案] Spartan3e SPI Master配置在大约5-10%的时间内失败 陈林 2019-6-19 71173 陈林 06-19 12:57
[无满意答案] spartn6如何才能与这种总线接口? 王桂芝 2019-6-19 41503 王桂芝 06-19 12:01
[无满意答案] GTP PLL锁定问题 刘鑫 2019-6-19 42262 刘鑫 06-19 11:27
[无满意答案] 来自Spartan-3 XC3S1500-4FG320差分输出缓冲器的信号失真 王国花 2019-6-19 41931 王国花 06-19 11:21
[无满意答案] Spartan3A SVF配置 蔡芸菲 2019-6-19 31364 蔡芸菲 06-19 11:20
[无满意答案] 请问Spartan 6是否有类似的应用笔记和电子表格计算器? 郑静东 2019-6-19 4661 郑静东 06-19 09:11
[无满意答案] 请问我可以在时钟线上放置一个IODELAY2元素吗? 潘础功 2019-6-19 92768 潘础功 06-19 08:48
[无满意答案] S6 IODELAY2校准错误 李皓圳 2019-6-19 92790 李皓圳 06-19 06:53
[无满意答案] 请问可以使用组合逻辑和serdes选通来获得时序吗? 李娜 2019-6-19 1715 李娜 06-19 06:38
[无满意答案] 请问我该如何正确定义/获得在Nexys2板上工作的5MHz时钟? 殷夏 2019-6-18 5735 殷夏 06-18 17:34
[无满意答案] 怎么读取FPGA上寄存器引脚的内容到PC 周彦楠 2019-6-18 41951 周彦楠 06-18 16:09
[无满意答案] 转移IOCLK而不是使用IODELAY2 陈莉 2019-6-18 82404 陈莉 06-18 15:28
[无满意答案] 如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区? 蔡艳 2019-6-18 152187 蔡艳 06-18 15:14
[无满意答案] 请问有什么地方我可以直接了解如何使用我的HDMI输出? 范志坚 2019-6-18 11855 范志坚 06-18 14:28
关闭

站长推荐 上一条 /6 下一条

返回顶部 返回版块