发 帖  
特权同学FPGA专区
特权同学FPGA专区
收藏|RSS 经验: 202|组长:
12下一页
发帖
  帖子 作者/时间 回复/查看 最后发表
求特权同学Sparran6板子3.0版本的资料 jf_07600572 2023-1-4 01740 jf_07600572 01-04 23:37
特权老师F“”PGA数字图像采集与处理“一书中色彩滤波矩阵IP核程序有不明白的问题 张三 2021-4-1 34040 chaoyueTX 03-25 09:06
[无满意答案] 请问各路大神 这两句话该怎么理解啊? 图片附件  ...2 冰糖雪梨冰糖雪梨 2016-11-27 3510929 冯读金 06-15 13:07
大家手里面还有特权同学的FPGA学习资料吗? yang 2019-12-19 73061 杨文奇 03-15 23:52
[无满意答案] FPGA视频资料的使用 王一一 2017-10-26 15334 石金 02-08 10:25
FPGA 驱动ADXL372加速度计,配置和读数问题 图片附件 我爱小草hj 2019-10-14 13030 h1654155275.5753 10-14 16:40
fpga应用学习求助 执笔画浮沉 2019-7-4 71913 1465617980 08-14 09:47
这么有用的FPGA!我才知道!!! Nancyfans 2019-8-13 01670 Nancyfans 08-13 15:21
FPGA图像处理 直方图统计并灰度拉伸 然后VGA显示的问题 图片附件 冯读金 2019-6-28 11823 执笔画浮沉 07-04 21:30
SF-EP1C V3.1光盘找不到了,还能下到资料吗? h1654155171.6705 2019-4-7 01232 tank326 04-07 13:11
请问这段verilog代码什么意思,调用了什么核 张梅 2019-3-25 22071 李玉鑫 03-25 14:35
[无满意答案] 初学者求助 - [已解决] 图片附件 张晓虹 2018-1-18 12346 冯读金 01-10 16:44
[无满意答案] 关于quartus 开发软件的安装一个很奇怪的问题 图片附件 晴天实名认证 2018-3-12 22580 冯读金 01-10 16:39
[无满意答案] xilinx spartan6 串口问题 求大神解答 图片附件 冯读金 2018-8-4 83174 668301 12-08 17:44
quartusii和modelsim联合仿真时,出现如下故障怎么办? 图片附件 半山 2018-11-23 13014 半山 11-28 10:15
FIFO读使能问题 岳豪 2018-10-7 12360 xiaohui 10-11 17:47
[无满意答案] 60-6译码器报错,麻烦大家帮忙看看问题出在哪里 图片附件 2018-6-5 23761 王志保 06-06 16:27
[无答案] 有偿求助ad9280和ad9708的vhdl程序 张浩 2018-5-29 02945 张浩 05-29 09:36
[无答案] 基于FPGA的数字温度计的设计 图片附件 范成香 2018-5-13 03149 范成香 05-13 15:25
[无满意答案] jtag口可以成功下载,as口下载成功但是程序不运行,找了好久一直没找到原因,电路配置都没有问题。 lee 2018-1-30 25169 想不想该不该 05-10 09:15
[无满意答案] 软件不能仿真,求助 图片附件 jf_44821573 2018-4-9 22622 冷锋 04-11 11:50
[无答案] xilinx原语问题,求大神解答!!!!!!! 图片附件 icepanpan 2018-3-23 03156 icepanpan 03-23 15:12
[无满意答案] xilinx-A7 fpga 使用QSPI模式启动,项目需要用MCU做FPGA程序升级,请问MCU怎么操作SPIFLASH? 陈先生 2017-12-3 311014 Crzy 02-01 15:42
[无满意答案] USB Blaster 下载器驱动程序安装求助 图片附件 张晓虹 2018-1-18 13930 邱明月 01-25 17:05
[无答案] IP 核配置——DDR2 控制器 求助 负一级 2018-1-24 03502 负一级 01-24 08:23
[无答案] PLL例化和LED闪烁例程出现 ERROR 2035和ERROR 924 负一级 2018-1-22 04090 负一级 01-22 21:47
初学者的一些疑问以及开发板购买 周平 2017-12-23 12515 简单点o 12-25 14:33
[无答案] 视频疑问 传伟1号 2017-12-20 01648 传伟1号 12-20 12:56
[无满意答案] UART串口收发实验发送数据和接收的数据不一致(FPGA/CPLD边学边练---快速入门Verilog/VHDL) - [已解决] 图片附件 zhyu4774 2017-11-30 68828 Crzy 12-15 16:53
[无满意答案] 特权同学SDRAM中datagene模块,640ns是怎么产生的,clk明明是25M - [已解决] ckkcy 2017-11-13 33178 ckkcy 11-21 16:54
关闭

站长推荐 上一条 /6 下一条

返回顶部 返回版块