【Z-turn Board试用体验】+给出系统对zynq芯片供电示意图 - 问答 - 电子技术论坛 - 最好最受欢迎电子论坛!

【Z-turn Board试用体验】+给出系统对zynq芯片供电示意图

何立立 ( 楼主 ) 2015-5-19 16:45:58  显示全部楼层
本帖最后由 何立立 于 2015-5-19 16:50 编辑

FPGA电源需求通常很复杂,因为FPGA有多达至少三种供电要求,为了实现可靠的系统性能,必须对这些要求排序 FPGA制造商常常要指定内核和I/O的上电顺序或跟踪该顺序不指定上电顺序或不跟踪上电顺序所面临的后果是常常会对系统中的器件造成不可挽回的破坏。FPGA、PLD、DSP和微处理器通常在内核与I/O电源之间放置二极管作为ESD保护元件。如果电源违反了跟踪要求并超过了保护二极管的正向偏置,那么该器件就可能被损坏。

  内核电压:内核电压轨通常设定成VCCINT,为FPGA逻辑供电。要求的电流从几百毫安到几十安培,具体大小取决于时钟频率和所用的门数。因为该负载是呈高度容性,内核电压电流要求可能在开始的时候很高。FPGA内核对瞬态响应的要求很严格,内核电源电压必须缓慢增加并且常常要求在固定的时间长度内上升到稳定的电压。
  I/O电压(VCCIO):通常要求的电压轨是3.3V、2.5V、1.8V或1.5V。I/O标准可以由FPGA中的I/O模块独立设置,因此一个FPGA就有可能存在一个以上的I/O电压。I/O电流要求取决于所用的I/O数量和时钟速度。通常,I/O电流要求低,范围在几百毫安到3A。
  辅助电压(VCCAUX):要求电源具有高电源抑制比(PSRR),因为电源直接与数字时钟管理(DCM)相连。如果电源噪声被容许耦合到DCM,将可能影响到系统的性能。
  因此电源的选择很重要。


  Z-Turn Board 7Z010(20)板卡在原理图系统供电感觉有点乱,没有特别列出系统电源供电示意图,在xilinx官方的原理图里会专门的给出系统电源供电示意图,如下:
xilix AC701电源配置示意图.png

diligent在手册上上也给出了电源供电示意图,如下:
digilent Nexys4 Power Circuit.png

感觉我们的z-turn系类的产品也可以在自己的原理图开始页或者手册里给出电源框图,这样可以加快使用者对整板卡系统的了解。这样也更加完美了呀!下面是我粗略的画出了z-turn board 7z010(20)电源框图和大家分享,如下:
z-turn zynq电源配置.png

  

8个回复

何立立 发表于 2015-5-19 16:48:59
粗见                             
回复

举报 使用道具

何立立 发表于 2015-5-19 19:43:20
xianyoudian 发表于 2015-5-19 19:15
谢谢分享学习一下

嗯嗯 相互学习                          
回复

举报 使用道具

HelloWii 发表于 2015-5-20 08:18:50
感谢分享。。。。学习了。。。。
回复

举报 使用道具

myir.tom 发表于 2015-5-26 13:56:57
不好意思哈,原理图没有加上供电系统的框图,以后考虑加上,自己先找一下吧
回复

举报 使用道具

myir.tom 发表于 2015-5-26 13:57:23
不好意思哈,原理图没有加上供电系统的框图,以后考虑加上,自己先找一下吧
回复

举报 使用道具

myir.tom 发表于 2015-5-26 13:57:54
不好意思哈,原理图没有加上供电系统的框图,以后考虑加上,自己先找一下吧
回复

举报 使用道具

何立立 发表于 2015-5-26 15:13:01
myir.tom 发表于 2015-5-26 13:57
不好意思哈,原理图没有加上供电系统的框图,以后考虑加上,自己先找一下吧

嗯啊                                       
回复

举报 使用道具

何立立 发表于 2015-5-26 15:13:17
myir.tom 发表于 2015-5-26 13:57
不好意思哈,原理图没有加上供电系统的框图,以后考虑加上,自己先找一下吧

嗯啊                                                                             
回复

举报 使用道具

您需要登录后才可以回帖 登录 | 注册

本版积分规则


关闭

站长推荐上一条 /6 下一条

小黑屋|手机版|Archiver|电子发烧友 ( 湘ICP备2023018690号 )

GMT+8, 2024-3-29 07:37 , Processed in 0.643168 second(s), Total 73, Slave 53 queries .

Powered by 电子发烧友网

© 2015 bbs.elecfans.com

微信扫描
快速回复 返回顶部 返回列表