library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; port ( a,b : in bit; s : in bit; y : out bit ); end entity mux21a; architecture one of mux21a is begin y <= a when s='0' else --select one between two choices b; end one; 端口配置: a Input PIN_3 b Input PIN_4 s Input PIN_7 y Output PIN_8 实验方法: y Output PIN_8:接个电阻和LED到地,显示电压高低(3.3 或0) a Input PIN_3 b Input PIN_4 s Input PIN_7:接电压高低(3.3 或0),验证如下逻辑表 实验结果:成功 实验体会:使用“insert template”插入模板,可以加速,避免重复性的输入。
|