发 帖  
[问答]

为什么我的计数器不计数呢modelsim仿真

4467

QQ图片20190923155543.png (26.07 KB, 下载次数: 11)

QQ图片20190923155543.png
2019-9-24 10:48:08   评论 分享淘帖 邀请回答 举报
6个回答
2019-9-24 16:41:47 评论

举报

2019-9-26 10:03:36 评论

举报

2019-9-26 11:23:02 评论

举报

2019-9-26 11:37:34 评论

举报

2019-9-28 20:44:14 评论

举报

time_1=5000_0000代码仿真OK.png (52.62 KB, 下载次数: 6)

time_1=5000_0000代码仿真OK.png

time_1=5000代码仿真OK.png (51.59 KB, 下载次数: 11)

time_1=5000代码仿真OK.png
2019-10-29 16:57:54 2 评论

举报

2 条评论
  • 2020-3-22 19:30

    我也遇到了同样的问题,请问怎么操作添加.v文件里的计数器啊?谢谢

    卿小小_9e6 回复 眼中意: 2020-3-24 22:03

    在仿真界面选中.v文件,右侧的object自然会出现该文件的端口、parameter和内部变量,右键选择需要观察的信号添加到观察窗口即可。

    我这个截图是vivado自带的仿真界面。modelsim如何设置请百度。

撰写答案

你正在撰写答案

如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。

您需要登录后才可以回帖 登录/注册

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容图片侵权或者其他问题,请联系本站作侵删。 侵权投诉
快速回复 返回顶部 返回列表
关注微信公众号

电子发烧友网

电子发烧友论坛

社区合作
刘勇
联系电话:15994832713
邮箱地址:liuyong@huaqiu.com
社区管理
elecfans短短
微信:elecfans_666
邮箱:users@hauqiu.com
关闭

站长推荐 上一条 /6 下一条

快速回复 返回顶部 返回列表