发 帖  
[问答]

verilog 编译错误 Error (10170): Verilog HDL syntax error at..... near text "always"; expecting "end"

57407
已退回2积分
2016-12-10 10:46:48   评论 分享淘帖 邀请回答
10个回答
2016-12-10 11:11:01 评论

举报

2016-12-10 11:18:19 评论

举报

2016-12-10 20:51:19 评论

举报

2016-12-11 17:33:02 评论

举报

2016-12-11 17:35:48 评论

举报

2016-12-11 19:49:18 评论

举报

2016-12-11 21:35:58 评论

举报

2016-12-12 15:54:34 评论

举报

2016-12-13 11:12:16 评论

举报

2016-12-14 02:01:04 评论

举报

撰写答案

你正在撰写答案

如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。

您需要登录后才可以回帖 登录/注册

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容图片侵权或者其他问题,请联系本站作侵删。 侵权投诉
快速回复 返回顶部 返回列表
关注微信公众号

电子发烧友网

电子发烧友论坛

社区合作
刘勇
联系电话:15994832713
邮箱地址:liuyong@huaqiu.com
社区管理
elecfans短短
微信:elecfans_666
邮箱:users@hauqiu.com
关闭

站长推荐 上一条 /6 下一条

快速回复 返回顶部 返回列表