发 帖  

读取verilog内存的时候为什么a<=memo[1]仿真的时候可以读出来,a<=memo[i]一个变量就不行(看图),求解答!

2806
$MLMO)YSE8`Y_FA]2GSP}1O.png
BOQ}L$B%[V}KDRH54OS@`RW.png
R6NNX0PMURFQ7U~~CBI1YZF.png
VL0AIFBN1$8[D22~$00}X(7.png
已退回10积分
1个回答
2016-3-5 11:14:34 评论

举报

只有小组成员才能发言,加入小组>>

230个成员聚集在这个小组

加入小组

最新话题

    热门话题

      创建小组步骤

      快速回复 返回顶部 返回列表
      关注微信公众号

      电子发烧友网

      电子发烧友论坛

      社区合作
      刘勇
      联系电话:15994832713
      邮箱地址:liuyong@huaqiu.com
      社区管理
      elecfans短短
      微信:elecfans_666
      邮箱:users@hauqiu.com
      关闭

      站长推荐 上一条 /6 下一条

      快速回复 返回顶部 返回列表