发 帖  
无论是整流还是逆变,电压杂波特别大是怎么回事

图一是整流时的波形,绿色是电流,蓝色是电压,图二是逆变时的波形,红色是电压,绿色是电流。在进行不控整流时,电压没有杂波,一旦进入闭环,开关管开始运行,就出现了 ...

关于比例运算电路的疑惑

如下图下划线标注所示,我不明白为什么在反相比例运算电路中,但是在正相比例运算电路中,认为,这岂不是矛盾了吗?在我看来,两种运算电路应当都同时满足虚地,虚短,虚断的,那 ...

FPGA板子可以跑Qt应用程序吗

Qt作为一款跨平台GUI图形界面设计软件,她可以在windows、Linux上运行,没听说过在FPGA的板子上运行Qt程序呢?

变频器的电抗器怎么选型?

变频器的三大电抗器,输入电抗器,直流电抗器,输出电抗器,这三个电抗器是按照什么选型的?1、对于输入输出电抗器,三相电抗器的感量如何计算?有什么理论依据?2、选型 ...

cmos的slvs-ec协议40针引脚该如何连接到xilinx a7开发版上?

我有一块集成了sony的cmos的板子,cmos的图像数据走的是SLVS-EC协议,数据走的是0.4mm间隔的40针的接口,如图:请问这个接口该如何接线到xilinx的a7板子,该买什么规格 ...

影响485通信的因素有哪些?

现在搭建一个485通讯测试平台,用电脑485软件周期性发送三条指令,当波特率在9600时,基本确保每条指令都能接收。但是当波特率升高到19200时,回复报文基本报错1/3,排除软 ...

信号发生器给采样电路输入正弦波,输出的正弦波有畸变,在零点处保持一段为0,并且幅值有衰减,搞不清楚原因?

测试采样电路,用信号发生器在R65和R67两侧加上有效值为4V的正弦波,R65和R67分压输出的IL-A-T在零点处有一段一直为0,并且此时用示波器测量两个电阻两端电压有效值衰减到1 ...

5361之PWM之CMP更新慢至1秒,请各位有经验的高手帮忙!

大家好,我把官方pwmout的例子中心对齐那个函数改成了100KHz,原来是200Hz,又把死区时间改成50,因为原来1600的死区太长,超过了100KHz的周期,之后程序顺利运行,示波器 ...

电源保护电路系统的设计与制作 有大哥帮帮忙嘛

电源的输出指标:为了方便在实验室做各种电路实验,实验室电源系统应具有如下的功能:输出+12V,-12V,+5V固定电压的直流稳压电压源;输出输出电压从1.25V到12V可调的直 ...

自定义系统时钟频率后,用库函数和微带两种方式点亮LED灯,为什么灯的闪烁频率不一致?

写了一个自定义系统时钟频率的函数,然后自定义系统时钟频率为28MHz,在这个频率下用库函数和微带两种方式点亮LED灯,为什么灯的闪烁频率不一致?代码在附件中,哪位大神 ...

单片机跑Freertos怎么样?

单片机跑Freertos好移植么?参考资料好不好找?

华大单片机如何开启或关闭全局中断

华大单片机如何开启或关闭全局中断

用74192设计倒计时电路,proteus仿真时为什么手动改变逆计数的时钟引脚时可以进行计数

用74192设计倒计时电路,proteus仿真时为什么手动改变逆计数的时钟引脚时可以进行计数,使用信号发生器输入方波时无法进行计数 ...

RISC-V单片机跑实时系统,哪个最合适?

了解了几个单片机实时系统:Freertos,Ucos,RT-thread等,哪个最适合呢?

FPGA能替代STM32吗

一直用STM32F4系列,想知道现在的FPGA低功耗方面有优势吗?

请帮解析下这个光耦驱动继电器电路中三极管的作用,谢谢

后面驱动的是接触电阻3200欧的继电器,不太理解这个Q4的作用

CAN原理和通信软件推荐

看过一些资料还是不太理解这个CAN通信,CAN通信的原理是什么?尤其是CAN的分类和数据结构,CAN分为标准帧和扩展帧,对于这两个帧的具体含义是什么?在变频器上扩展CAN通信 ...

求问电子设计自学路径

新手小白也想自己做出小车、小电视甚至小机器人等等有意思的项目,有C语言基础并且对stm32有了一丁点基础的了解,但是硬件、电路设计以及更高阶的程序语言仍然一窍不通。请 ...

NPN型三极管发射结电势和基极电流有关问题

教材有一句话:发射结电势减小,导致基极电流减小这句话如果单看三极管的输入特性曲线确实没问题,但是三极管实际工作的静态工作点Q是输入特性曲线和输入回路负载线的交点,这 ...

电路设计:请问图中D7,D8,R48(为什么用51K),R49的作用?

请问图中D7,D8,R48(为什么用51K),R49的作用?图为电源防反接电路。

NCP1015反激开关电源multisim仿真错误

------ 正在为 设计1 - 2024年3月22日, 22:51:30 检查 SPICE 网表------======= SPICE 网表检查完毕, 0 错误, 0 警告 =======Warning: Analysis initial conditions are ...

RK3568平台,进入烧录模式,部分电脑提示无法识别,无法烧录

RK3568进入了烧录模式,Win10,Win11的电脑提示无法识别的USB设备,无法烧录,我自己win7系统的一直可以识别;或者Win10烧录成功后,第二次就提示无法烧录了,请问可能是什 ...

32768hz晶振+4060实现1hz时钟

请问一下大佬们,这个怎么不起振呢,那个黄色的点具体是什么意思,一开始还没有黄色的点,后面多点了了几次仿真就有这个黄色的点了 ...

创龙教仪基于瑞芯微3568的ARM Cortex A-55教学实验箱 适用于人工智能 传感器 物联网等领域

适用课程Cortex-A55 ARM嵌入式实验箱主要用于《ARM 系统开发》、《ARM 应用开发》《物联网通信技术》、《嵌入式系统设计》、《移动互联网技术》、《无线传感器网络》、《 ...

ST25R3916能否对ISO15693的标签芯片进行分区域写密码?

ST25R3916能否对ISO15693恩智浦的标签芯片进行分区域写密码?

推荐一款设备或者上位机软件

现在要看一个485通信的数据传输波形,因为要查看的时间范围较长,而且要根据传输的电平查看其具体协议是什么,推荐一款能够较长时间查看传输信号的设备,示波器查看的时间 ...

输出一个PWM波,经过三极管后,会改变波形哪些方面?

输出一个PWM波,经过三极管后,会改变波形哪些方面

AD采样和基准电压的关系

在嵌入式芯片AD采样的时候,需要根据基准电压确定采样值和显示值反映实际的数值关系;1、基准电压是3V,2^12次方4096级采样,也就是0-3V对应0-4095,假设此时软件依旧按照 ...

做了一个 log 日志触发器控制示波器

日常工作中,经常遇到需要在软件出错时抓到当时的波形,靠人看太费劲,就搞了一个触发器,可以直接读取串口日志,并在检测到关键字时控制一个 GPIO 信号来触发示波器。大大 ...

FPGA与LoRa模块的串口通信问题

自己画的FPGA的PCB板,FPGA与LoRa模块是通过串口相连的,但是传输不了数据。FPGA和串口助手可以通信,串口助手和LoRa模块也可以通信,但是PCB板和LoRa通信不了,用signalt ...

关闭

站长推荐 上一条 /6 下一条