发 帖  
stm32g0外部晶振不工作的原因?

stm32g0外部晶振不工作使用cubemx,如果配置成外部8M,pll设置为64M,示波器观察有波形,程序却无法运行,使用内部晶振,配置为64M,无问题,不知道怎么解决了。具体型号 ...

李桂兰 15 小时前 来源:STM32 9阅读量 1评论
STM32F1的LL库,RTC怎么不能设置日期?

STM32F1的LL库,RTC 怎么不能设置日期,只能设置时间。

王燕 15 小时前 来源:STM32 13阅读量 1评论
传入SVPWM的电压矢量太小的时候电机不转怎么解决?

我自己制作了一个FOC驱动板,单电阻采样,但是最终反Park变换计算出来的Vα和Vβ太小了,电机根本就不转。我要乘以一个系数才开始转。但转起来PWM波形、单电阻的电流波形是 ...

王桂英 15 小时前 来源:STM32 38阅读量 1评论
【下载】《射频电路设计实战宝典》—美国无线电领域著名专家力作,射频工程师必备床头书!

`内容简介本书的内容主要包括发射机和接收机的组成部件,从基础知识(如振荡器的拓扑结构)到实际组成部件(如一个稳定的VFO),直至完整的系统构成(如接收机)。书中还介绍了 ...

STM32F103C8T6运行一段时间后程序会偶尔出现程序停止的现象,为什么?

1 产品由于尺寸比较小,没有硬件复位,复位电路只有上拉10k电阻下拉0.1uf电容,使用过程中需要不停在STOP模式与工作状态切换,STOP模式电流为60uA,工作状态8mA~20mA之间; ...

吴湛 16 小时前 来源:STM32 9阅读量 1评论
ST-LINK/V2无法下载HEX文件到stm32g070cb中,是不支持吗?

用 ST-LINK/V2   无法下载HEX文件到stm32g070cb中,是不支持吗?连接上了,不过没有识别,下载会报错。下载前可以连接上,不过没有识别,如下图:下载过程 ...

贾小龙 16 小时前 来源:STM32 9阅读量 1评论
基于STM32H743IIT6开发的代码,是否能不经修改无障碍地运行在STM32H753IIT6上?

基于 STM32H743IIT6 开发的代码,是否能不经修改无障碍地运行在STM32H753IIT6上?

楼斌 16 小时前 来源:STM32 9阅读量 1评论
STM32F1用单次AD采样和AD+DMA连续采样的结果有偏差是怎么回事?

请教大家一个问题, 硬件是一样的,采样电阻接地。负载不工作:AD单次采样时,可以采样到0x 00;AD+DMA连续采样,采样到 0x 0F到0x 12抬高了采样值,负载开启时:AD单次采 ...

杨火亭 16 小时前 来源:STM32 9阅读量 1评论
请问如何使用定时器的更新事件触发DMA读取6字节SPI数据?

  想用定时器的更新事件触发DMA读取SPI数据,现在有个问题是一次更新事件只能触发一次DMA传输,我想要定时器触发一次,DMA能发送6字节到SPI的DR,从而读取6字节 ...

wufan931111 16 小时前 来源:STM32 11阅读量 1评论
ST Motor Control Workbench不能生产代码怎么解决?

每次生产代码都提示需要JRE11或以上版本,但明明都已经安装JRE11.0.13了啊!

刘丽菲 16 小时前 来源:STM32 10阅读量 1评论
stm32f030串口接收到数组如何处理?

stm32f030串口接收到八个字节数组,我想提取这个数组的第4位和第5位来进行运算后转为十进制数,但是提取数据的时候出问题了,本人刚入门小白,求大佬指点下面是我中断的代 ...

李丽 16 小时前 来源:STM32 8阅读量 2评论
workbench的监视器如何通过串口连接到开发板?

我用的是NUCLEO-F303RE+X-NUCLEO-IHM08M1的开发板套件。workbench的监视器如何通过串口连接到开发板。是连接UART2吗?连接不成功是怎么回事? ...

刘敏 16 小时前 来源:STM32 8阅读量 1评论
请问STM32普通的GPIO输出正确的配置方式是怎样的?

目前通过CubeMx工具配置PA2、PA4管脚为普通IO输出,默认电平分别为高电平、低电平,其自动产生的代码如下,严格意义上来讲应该是先配置IO管脚功能(如IO输入、IO输出、模拟 ...

孔朱磊 16 小时前 来源:STM32 33阅读量 1评论
HarmonyOS从入门到大神资料下载合集(上)

HarmonyOS从入门到大神资料下载合集,由于资料较多现分成两部分上传,有需要的小伙伴可以自行下载,觉得资料还可以的小伙伴可以在评论区评论(评论可领5个积分哦)Harm ...

《机器人设计与实现》——低成本DIY机器人,一本机器人制作的经典入门书

`内容简介《机器人设计与实现》是机器人制作的入门书。《机器人设计与实现》的作者根据多年来教学科研的实践和体会,运用简明易懂的语言全面地讲述机器人的制作技术。全 ...

王成元—现代电机控制技术(电子版)

` 本帖最后由 24不可说 于 2018-11-8 09:44 编辑 本书主要内容包括:三相感应电动机和三相永磁同步电动机矢量控制;三相感应电动机和三相永磁同步电动机直接转矩控制;无 ...

FPGA最小系统是怎样的

请问FPGA的最小系统是怎样的?

RISC-V和mcu的关系是什么?

如题,两者有无覆盖和领域关系?

开关电源设计(第3版)中文清晰版

`立即学习>>史上最全张飞半桥LLC电源教程,60小时深度讲解半桥串联谐振软开关电源设计基本信息 出版社: 电子工业出版社; 第1版 (2010年6月1日)外文书名: Switching P ...

labview使你的显示字体更像数码管显示

`点击学习>>《龙哥手把手教你学LabVIEW视觉设计》视频教程下载下面两个文件就可以显示如上效果了:**** 本内容被作者隐藏 ****然后请到程序,控制面板,字体中加入 ...

【资料】PCB设计100问

很好的问答哟~~可以借鉴**** 本内容被作者隐藏 ****

【精华】由入门到精通吃透PID

由入门到精通吃透PID,原创连载文章,共49页超级详细!回复帖子查看资料下载链接:**** 本内容被作者隐藏 **** ...

电路设计:请问图中D7,D8,R48(为什么用51K),R49的作用?

请问图中D7,D8,R48(为什么用51K),R49的作用?图为电源防反接电路。

Altium Designer各种库(元件库、3D库、集成库和原理图库),一起打包带走~

本资料为Altium Designer各种库资料合集,方便各位工程师下载使用,查漏补缺,资料整理不易,赏个留言呗~以下为资料部分截图:一键分析设计隐患,首款国产PCB ...

400个proteus仿真例程分享给大家

400个proteus仿真例程分享给大家,需要的可以留下邮箱给我,如果多人要的话过两天我就发网盘链接给大家。具体内容看下图,看看有没有你需要的:01 74LS138译码器应 ...

IC datasheet为什么越来越薄了?

刚毕业的时候IC spec动则三四百页甚至一千页,这种设置和使用方法很详尽,但是这几年IC datasheet为什么越来越薄了,还分成了IC功能介绍、code设置、工厂量产等等规格书, ...

四人表决器,含主裁判,用protues进行仿真,怎么设计电路?

节目有一位主评委和三位评委进行表决,当满足以下条件时决议通过:有三人或三人以上同意;或者有两人同意,但其中一人必须是主评委。要求:用两输入与非门设计满足上述要求的 ...

AD采样口的负载效应

在ARM和DSPAD输入口经常串联一个330欧姆的电阻,请问这个电阻是阻抗匹配的作用吗?如果不是,那么请问它是什么作用?再者,假设到AD输入口信号是0-3V的电压信号,AD转换口 ...

关闭

站长推荐 上一条 /6 下一条